Национальный цифровой ресурс Руконт - межотраслевая электронная библиотека (ЭБС) на базе технологии Контекстум (всего произведений: 634840)
Контекстум
Руконтекст антиплагиат система
Компоненты и технологии  / №7 (168) 2015

Проектирование КИХ-фильтров в системе Xilinx System Generator с применением методологии Black Boxes (50,00 руб.)

0   0
Первый авторСтрогонов Андрей
АвторыЦыбин Сергей, Городков Павел
Страниц7
ID381973
АннотацияИспользование методологии Black Boxes Xilinx System Generator при разработке имитационных моделей цифровых устройств позволяет импортировать VHDL-, Verilog-, EDIF-коды, разработанные, например, в САПР ПЛИС Xilinx ISE Design Suite в систему Matlab/Simulink, что значительно повышает возможности объектно-ориентированного проектирования.
Строгонов, А. Проектирование КИХ-фильтров в системе Xilinx System Generator с применением методологии Black Boxes / А. Строгонов, Сергей Цыбин, Павел Городков // Компоненты и технологии .— 2015 .— №7 (168) .— С. 64-70 .— URL: https://rucont.ru/efd/381973 (дата обращения: 26.04.2024)

Предпросмотр (выдержки из произведения)

62 компоненты ПЛИС Проектирование КИХ-фильтров в системе Xilinx System Generator с применением методологии Black Boxes Андрей СТРОГОНОВ, д. т. н. <...> tsybin@edc-electronics.ru Павел ГОРОДКОВ gorodkoff@gmail.com Использование методологии Black Boxes Xilinx System Generator при разработке имитационных моделей цифровых устройств позволяет импортировать VHDL-, Verilog-, EDIF-коды, разработанные, например, в САПР ПЛИС Xilinx ISE Design Suite в систему Matlab/Simulink, что значительно повышает возможности объектно-ориентированного проектирования. <...> Импорт проектов, созданных в САПР ПЛИС Xilinx ISE Design Suite с использованием единственных VHDL-файлов В Xilinx System Generator проанализируем проектирование КИХ-фильтра на четыре отвода у = С0x0+C1x1+C2x2+C3x3 с заданными коэффициентами С0 = –2, C1 = –1, C2 = 7 и C3 = 6 [1–4] с применением методологии Black Boxes. <...> Пример 1 демонстрирует нижний уровень иерархии проекта КИХ-фильтра на четыре отвода, созданного с использованием единственного VHDL-файла. <...> Верхним уровнем иерархии является схемный файл fir.sch, состоящий из символа с именем fir4 и портов (не показан). <...> В данном примере для преобразования типов применен пакет std_logic_arith библиотеки IEEE (также возможно использование пакета numeric_std). <...> Поскольку порты date и q_reg относятся к типу std_logic_vector, то необходимо осуществить преобразование типов с помощью следующих функций: conv_signed(conv_integer(date),4) для сигнала date и std_logic_vector(acc) для сигнала q_reg. <...> Функция conv_integer(date) преобразует сигнал date в целое десятичное число с учетом знака, а функция conv_signed преобразует в 4-разрядный дополнительный двоичный код. <...> Убедившись с помощью испытательного стенда в том, что код языка VHDL КИХфильтра на четыре отвода работает корректно, перейдем к разработке имитационной модели. <...> Код языка VHDL КИХ-фильтра на четыре отвода Рис. <...> Имитационная модель КИХ-фильтра на четыре отвода для реализации в базисе ПЛИС серии Spartan-6 xa6slx4-3tqg144 на основе функционального блока Black Box реализации в базисе ПЛИС серии Spartan-6 xa6slx4-3tqg144 на основе функционального блока Black Box. <...> Для того чтобы воспользоваться данной методологией <...>