Национальный цифровой ресурс Руконт - межотраслевая электронная библиотека (ЭБС) на базе технологии Контекстум (всего произведений: 635212)
Контекстум
Руконтекст антиплагиат система

Математические модели и методы синтеза в сверхбольших интегральных схемах (190,00 руб.)

0   0
АвторыЧервяков Н. И., Галушкин А. И., Бабенко М. Г., Кучуков В. А.
Издательствоизд-во СКФУ
Страниц187
ID622845
АннотацияПособие подготовлено в соответствии с ФГОС ВО, состоит из теоретического блока, содержащего основы языка VHDL и описание среды проектирования цифровых устройств ISE, лабораторных работ, связанных с разработкой цифровых устройств и их тестированием, и итогового задания, посвященного проектированию вычислительного устройства в системе остаточных классов
Кому рекомендованоПредназначено для бакалавров, обучающихся по направлению «Прикладная математика и информатика», может быть полезно для магистров направления «Прикладная математика и информатика», аспирантов направления «Информатика и вычислительная техника», а также научных работников, занимающихся проектированием современных вычислительных систем
УДК621.3.049.77
ББК31.261
Математические модели и методы синтеза в сверхбольших интегральных схемах : лабораторный практикум. Направление подготовки 01.03.02 – Прикладная математика и информатика. Профиль «Математическое моделирование». Квалификация выпускника – бакалавр / Н. И. Червяков, А. И. Галушкин, М. Г. Бабенко, В. А. Кучуков .— Ставрополь : изд-во СКФУ, 2016 .— 187 с. — URL: https://rucont.ru/efd/622845 (дата обращения: 10.05.2024)

Предпросмотр (выдержки из произведения)

Пособие подготовлено в соответствии с ФГОС ВО; состоит из теоретического блока, содержащего основы языка VHDL и описание среды проектирования цифровых устройств ISE, лабораторных работ, связанных с разработкой цифровых устройств и их тестированием, и итогового задания, посвященного проектированию вычислительного устройства в системе остаточных классов. <...> Существующие семейства FPGA значительно отличаются как по количеству основных элементов, таких как LUTы (просмотровые таблицы) и слайсы (slice), так и по наличию и количеству специализированных полупроводниковых ресурсов – тактовых ресурсов, математических ускорителей DSP48/E, высокоскоростных портов. <...> Синтез представляет собой процесс преобразования VHDL кода в набор конкретных примитивов и связей на FPGA. <...> 3 Поскольку ресурсы FPGA выделяются в соответствие с написанной программой, то с помощью VHDL возможно описать действительно параллельные операции, экземпляры, процессы, при этом VHDL поддерживает и поощряет использование иерархии. <...> После компилирования инструменты Xilinx автоматически упорядочивают файлы для анализа в соответствии с иерархией. <...> Логика регистровых передач (RTL) позволяет описать, как данные передаются между регистрами с помощью комбинаторной логики. <...> RTL-код "SUM <= A+B" преобразуется инструментами синтеза в список соединений и передается инструментам реализации, чтобы в итоге стать битовым потоком, который загружается в FPGA. <...> FPGA (Программируемая пользователем вентильная матрица) представляет собой логическое полупроводниковое устройство, которое может быть запрограммировано проектировщиком на выполнение конкретной задачи. <...> Каждый слайс содержит просмотровые таблицы комбинаторной логики (LUTы), триггеры, смежные ресурсы (например, мультиплексоры). LUTы могут вести себя как комбинаторные элементы ( n -входовые с одним выходом), синхронные регистры сдвига, память (однопортовая, двухпортовая, RAM, ROM). <...> Глобальный тактовый буфер (BUFG) – часть системы <...>
Математические_модели_и_методы_синтеза_в_сверхбольших_интегральных_схемах.pdf
УДК 621.3.049.77 (075.8) ББК 31.261 я73 М 34 Печатается по решению редакционно-издательского совета Северо-Кавказского федерального университета Рецензенты: д-р техн. наук, профессор И. А. Калмыков, канд. техн. наук, профессор О. П. Малофей М 34 Математические модели и методы синтеза в сверхбольших интегральных схемах: лабораторный практикум / авт.сост.: Червяков Н. И., Галушкин А. И., Бабенко М. Г., Кучуков В. А. – Ставрополь: Изд-во СКФУ, 2016. – 187 с. Пособие подготовлено в соответствии с ФГОС ВО; состоит из теоретического блока, содержащего основы языка VHDL и описание среды проектирования цифровых устройств ISE, лабораторных работ, связанных с разработкой цифровых устройств и их тестированием, и итогового задания, посвященного проектированию вычислительного устройства в системе остаточных классов. Предназначено для бакалавров, обучающихся по направлению «Прикладная математика и информатика»; может быть полезно для магистров направления «Прикладная математика и информатика», аспирантов направления «Информатика и вычислительная техника», а также научных работников, занимающихся проектированием современных вычислительных систем. Авторы-составители: д-р техн. наук, профессор Н. И. Червяков, д-р техн. наук, профессор А. И. Галушкин «Московский физико-технический институт (государственный университет)», канд. физ.-математ. наук М. Г. Бабенко, аспирант кафедры В. А. Кучуков © ФГАОУ ВО «Северо-Кавказский федеральный университет», 2016 2
Стр.2
СОДЕРЖАНИЕ Введение …………………………………………………….. Типы данных ………………………………………………… Параллельные операции ……………………………………. Процессы и переменные ……………………………………. Построение и моделирование испытательных стендов …… Создание памяти …………………………………………….. Конечные автоматы ………………………………………….. Разработка циклов и условий ……………………………….. Атрибуты, функции и процедуры ………………………….. Лабораторные работы ……………………………………... 1. Знакомство со средой разработки ……………………….. 2. Использование инструментов ISE ……………………….. 3. Использование параллельных операторов ………………. 4. Построение простого процесса …………………………... 5. Построение двухпортовой памяти ……………………….. 6. Построение конечного автомата Мура ………………….. 7. Использование циклов ……………………………………. 8. Построение собственного пакета ………………………… Итоговый проект. Построение арифметического устройства, работающего в системе остаточных классов ……. Литература ………………………………………………….. 3 9 24 36 52 61 70 83 93 111 111 120 125 134 143 152 165 169 174 185 186
Стр.186